Prev PageHierarchyFilesModulesSignalsTasksFunctionsHelp
ABCDEHIJMNOPRSTUVWXYZ

Signals index

X
 x_address : pacoblaze3m_register : input
 x_address : pacoblaze_dregister_tb : reg
Connects down to:pacoblaze3_dregister:dut:0 
orthopedic pain management
 x_address : pacoblaze_idu_tb : wire
Connects down to:pacoblaze3_idu:dut:6 
 x_address_base : pacoblaze3m_register : wire
 x_data_in : pacoblaze3m_register : input
 x_data_in : pacoblaze_dregister_tb : reg
Connects down to:pacoblaze3_dregister:dut:2 
 x_data_out : pacoblaze3m_register : output
 x_data_out : pacoblaze_dregister_tb : wire
Connects down to:pacoblaze3_dregister:dut:3 
 x_write_enable : pacoblaze3m_register : input
 x_write_enable : pacoblaze_dregister_tb : reg
Connects down to:pacoblaze3_dregister:dut:1 
Y
 y : addsub1 : output
 y : addsub2 : output
 y : addsub_tb : wire
Connects down to:addsub:dut:2 
 yh : addsub1 : wire
 yl : addsub1 : wire
 yl : addsub2 : output
 y_address : pacoblaze3m_register : input
 y_address : pacoblaze_dregister_tb : reg
Connects down to:pacoblaze3_dregister:dut:4 
 y_address : pacoblaze_idu_tb : wire
Connects down to:pacoblaze3_idu:dut:7 
 y_address_base : pacoblaze3m_register : wire
 y_data_out : pacoblaze3m_register : output
 y_data_out : pacoblaze_dregister_tb : wire
Connects down to:pacoblaze3_dregister:dut:5 
Z
 zero : pacoblaze3m : reg
 zero_carry_write_enable : pacoblaze3m : reg
 zero_saved : pacoblaze3m : reg
ABCDEHIJMNOPRSTUVWXYZ
HierarchyFilesModulesSignalsTasksFunctionsHelp

This page: Maintained by: pablo.N@SPAM.bleyer.org
Created:Tue May 29 02:37:53 2007

Verilog converted to html by v2html 7.30 (written by Costas Calamvokis).Help